video corpo

Processeur ARM Cortex-A520AE

processeur ARM
processeur ARM
Ajouter à mes favoris
Ajouter au comparateur
 

Caractéristiques

Type
ARM

Description

CPU Arm Cortex-A à haut rendement pour les applications critiques de sécurité Le processeur Arm Cortex-A520AE est un processeur Armv9.2 à haut rendement conçu pour prendre en charge les tâches de sécurité exigeantes de la prochaine génération de SDV. La micro-architecture à cœur fusionné et la technologie DynamIQ offrent de nouveaux niveaux d'évolutivité pour répondre aux besoins des systèmes zonaux, d'infotainment embarqué et d'assistance avancée au conducteur (ADAS). Il est compatible avec le processeur Arm Cortex-A720AE pour le calcul hétérogène, où l'équilibre parfait entre efficacité et performance peut être atteint. Caractéristiques et avantages Flexibilité avec Split-Lock Conçu pour équilibrer les performances et la sécurité dans les cas d'utilisation de gestion de la qualité (QM), ISO 26262 ASIL B et ASIL D. Démarrez en mode verrouillé pour les applications critiques en matière de sécurité, ou augmentez les performances en mode divisé ou hybride, en utilisant les fonctions de sécurité configurables, telles que la protection contre les défaillances transitoires (TFP) et les bibliothèques de test logiciel (STL), pour une meilleure détection des défaillances. Conception axée sur l'efficacité Les améliorations apportées à la micro-architecture et la micro-architecture à cœur fusionné garantissent l'efficacité énergétique dans la plus petite empreinte de silicium afin de répondre aux exigences de faible consommation des véhicules électriques. Le Cortex-A520AE permet de réaliser ces économies, tout en apportant une amélioration significative des performances par rapport aux générations précédentes, afin de répondre aux charges de travail émergentes du SDV. Caractéristiques avancées Basé sur l'architecture Armv9.2-A, il offre de nouvelles fonctionnalités conçues pour augmenter les performances des charges de travail d'IA et de ML, renforcer la sécurité du SDV et assurer la qualité de service essentielle aux applications automobiles. Compatible avec l'architecture, le Cortex-A520AE peut être associé au CPU Cortex-A720AE au sein d'un seul cluster DSU-120AE.

---

Catalogues

* Les prix s'entendent hors taxe, hors frais de livraison, hors droits de douane, et ne comprennent pas l'ensemble des coûts supplémentaires liés aux options d'installation ou de mise en service. Les prix sont donnés à titre indicatif et peuvent évoluer en fonction des pays, des cours des matières premières et des taux de change.